A Fixed Abrasive CMP Model

نویسندگان

  • Brian Lee
  • Duane S. Boning
  • Laertis Economikos
چکیده

Chemical mechanical polishing (CMP) has emerged as the planarization technique of choice in both front-end (STI) and back-end (ILD) integrated circuit manufacturing. Conventional CMP processes utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. More recent work has examined the use of a fixed abrasive CMP pad [1], in which abrasive material is embedded into the polishing pad and released during the polish. In this work, we present a closed form fixed abrasive CMP model derived using step-height and fixed abrasivespecific pattern density dependencies. We then propose a methodology for characterization and calibration of the model, and compared the model prediction to experimental data. INTRODUCTION The current use of dielectric CMP in semiconductor fabrication processes benefits from analytical models that can be used as predictive and diagnostic tools for the CMP process. Recent work in the modelling of pattern dependencies in dielectric CMP processes has resulted in the formulation of several compact analytical models [4,5]. These models have been shown to produce a reasonable fit to experimental data for conventional CMP processes. Stine [4] formulated an analytical model based on the concept that pattern density has a dominant effect on the post-CMP thickness of films. The basic idea is to incorporate pattern density into Preston’s glass polishing equation and then integrate the resulting differential equation to create the set of model equations. The Stine model states that when removing the initial raised areas of the film, the removal rate of this up area is inversely proportional to the effective pattern (feature) density. The regions between the up areas (the down areas) do not polish at all in the initial stages. Once the raised areas are completely removed (i.e., a step height of zero is reached), the down areas begin to polish, and the up and down areas polish at the blanket (unpatterned) film removal rate. In the Stine model, a key concept is the length scale over which the pattern density is calculated. Ouma [7] showed that a CMP process (specific combination of consumable set, tool, and process settings) is characterized by evaluating the distance (called the planarization length) over which the topography around a particular point affects the removal rate at that point. Using the planarization length to evaluate effective pattern density, along with the Stine model, it is possible to predict the post-CMP thickness of patterned films. Burke [6] conjectured that the removal rate of the up and down areas varies linearly with the step height of the film. Grillaert [3] noted that this only occurs below a certain step height (the Proc. CMP-MIC, pp. 395-402, Santa Clara, CA, March 2001. contact height). The effect is attributed to the surface compressibility of the pad. Smith [5] integrated this effect into the Stine model to create a more accurate model. The Smith model shows an improvement in prediction for low density features while keeping the same benefits of the density dependent modeling and characterization methodology. MODEL DERIVATION We now derive a CMP model based on the models discussed above. Removal rate diagrams, previously introduced for copper CMP modeling [2], are used to capture the effect of step height and pattern density on removal rate both graphically and in equation form. Dielectric CMP processes are modelled in two phases: polish before the pad contacts the down area between features (Phase 1) and polish after down area contact (Phase 2). The removal rate diagram is a plot of removal rate versus step height, and is used to capture the relationship between these two variables for the two phases of dielectric CMP. The removal rate diagram for oxide CMP is shown in Figure 2. Phase 1 of the polish process is modelled with a constant up area removal rate as a function of step height, and a down area removal rate of zero. This captures the effect of the pad only contacting the up areas of the film. The up area removal rate for this phase has been conventionally modelled as being proportional to the blanket removal rate and inversely proportional to the effective pattern density [4]. For the current analysis, we shall denote the up area removal rate for Phase 1 as simply K1, the patterned removal rate. Later in this work we will examine the relationship between K1 and pattern density. Figure 1: (a) Illustration of a typical dielectric film, before CMP; (b) The Stine dielectric CMP model (from [4]); (c) The Smith time-density dielectric CMP model (from [5]). ARu tc K ρ --K t tc – ( ) 1 ρ – ( ) h1 τ ---1 e t tc – ( ) τ --------------– –       + + = ARd K t tc – ( ) ρ h1 τ ---    – 1 e t tc – ( ) τ --------------– –       = z1 z u =0 up areas down areas

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A Novel CMP Process on Fixed Abrasive Pads for the Manufacturing of Highly Planar Thick Film SOI Substrates

A new approach using Fixed Abrasive (FA) pads has been undertaken to overcome the problem of non-uniform thick film Silicon-on-Insulator (SOI) wafers after CMP polishing. The theoretical models indicating the advantages of the 2-body system of the fixed abrasive configuration vs. the conventional 3-body system of slurry based polishing have been convincingly demonstrated in practise upon experi...

متن کامل

Material Removal Mechanism in Chemical Mechanical Polishing: Theory and Modeling

The abrasion mechanism in solid-solid contact mode of the chemical mechanical polishing (CMP) process is investigated in detail. Based on assumptions of plastic contact over wafer-abrasive and pad-abrasive interfaces, the normal distribution of abrasive size and an assumed periodic roughness of pad surface, a novel model is developed for material removal in CMP. The basic model is = removed, wh...

متن کامل

Effects of Abrasive Size Distribution in Chemical Mechanical Planarization: Modeling and Verification

Recently, a comprehensive model has been developed by Luo and Dornfeld (“Material removal mechanism in chemical mechanical polishing: theory and modeling,” IEEE Trans. Semiconduct. Manufact., vol. 14, pp. 112–133, May 2001) to explain the material removal mechanism in chemical mechanical planarization (CMP). Based on the model, the abrasive size distribution influences the material removal from...

متن کامل

Material Removal Regions in Chemical Mechanical Planarization for Submicron Integrated Circuit Fabrication: Coupling Effects of Slurry Chemicals, Abrasive Size Distribution, and Wafer-Pad Contact Area

A material removal rate (MRR) model as a function of abrasive weight concentration has been proposed for chemical mechanical planarization/polishing (CMP) by extending a material removal model developed earlier in 2001 and 2002. With an increase of the weight concentration of abrasives, three regions of material removal exist: a chemically dominant and rapid increasing region, a mechanically do...

متن کامل

A Modeling Approach for Predicting the Abrasive Particle Motion During Chemical Mechanical Polishing

Chemical mechanical polishing (CMP) is a manufacturing process in which a wafer surface is polished by pressing it against a rotating pad that is flooded with slurry. The slurry itself is a fluid containing abrasive particles. Past experimentation has shown that the distribution of suspended particles in the slurry is significantly related to the distribution of material removal on the wafer du...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2000